模拟量输出

S7-200 SMART模拟量输出应用

我们可以把模拟量输出模块和变频器相连,通过模拟量输出模块输出信号的大小,控制变频器HZ输出

订阅 RSS - 模拟量输出